首页| JavaScript| HTML/CSS| Matlab| PHP| Python| Java| C/C++/VC++| C#| ASP| 其他|
购买积分 购买会员 激活码充值

您现在的位置是:虫虫源码 > 其他 > FPGA设计电子钟

FPGA设计电子钟

  • 资源大小:0.25M
  • 上传时间:2021-09-16
  • 下载次数:0次
  • 浏览次数:0次
  • 资源积分:1积分
  • 标      签: 一般编程问题

资 源 简 介

利用fpga开发板,verilog语言设计电子时钟,万年历,便于大家学习硬件基础入门
VIP VIP
0.185732s