首页| JavaScript| HTML/CSS| Matlab| PHP| Python| Java| C/C++/VC++| C#| ASP| 其他|
购买积分 购买会员 激活码充值

您现在的位置是:虫虫源码 > 其他 > 任意N位和M位的乘法器VHDL实现(代码)

任意N位和M位的乘法器VHDL实现(代码)

  • 资源大小:0.16M
  • 上传时间:2021-09-15
  • 下载次数:0次
  • 浏览次数:0次
  • 资源积分:1积分
  • 标      签: 一般编程问题

资 源 简 介

我是2014级复旦的研究生。这是用VHDL语言设计的任意的M乘以N位的乘法器。设计中,被乘数和乘数的位数是通过参数来设置的,可由你来修改。我已写好了testbench。可放心使用。
VIP VIP
0.202138s