首页| JavaScript| HTML/CSS| Matlab| PHP| Python| Java| C/C++/VC++| C#| ASP| 其他|
购买积分 购买会员 激活码充值

您现在的位置是:虫虫源码 > 其他 > IIC总线 Verilog FGPA模块实现 注释详尽 初学必备

IIC总线 Verilog FGPA模块实现 注释详尽 初学必备

  • 资源大小:6.55KB
  • 上传时间:2021-09-10
  • 下载次数:0次
  • 浏览次数:0次
  • 资源积分:1积分
  • 标      签: 一般编程问题

资 源 简 介

IIC总线 Verilog FGPA模块实现 注释详尽 初学必备,实现了IIC读写EEPROM,已封装成模块,实例中为了testbench测试,将写入的数据变成了固定值,注释详尽,初学者也能明白,本人初学时编写,完整测试通过/*** * clk50M : 50M输入时钟 * resetKey : 复位信号 * IIC_SDA : IIC数据接口 * IIC_SCL : IIC控制时钟接口 * RWSignal : 读写信号,读1,写0 * startSignal : 开始执行读命令信号,上升沿触发开始 * readLen : 需要读取的字节个数
VIP VIP
0.177600s