首页| JavaScript| HTML/CSS| Matlab| PHP| Python| Java| C/C++/VC++| C#| ASP| 其他|
购买积分 购买会员 激活码充值

您现在的位置是:虫虫源码 > Matlab > Xilinx FPGA设计权威指南

Xilinx FPGA设计权威指南

  • 资源大小:64.88M
  • 上传时间:2021-09-08
  • 下载次数:0次
  • 浏览次数:0次
  • 资源积分:1积分
  • 标      签: 一般编程问题

资 源 简 介

Xilinx设计环境的详细介绍书籍,对Vivado开发环境进行了详细描述和介绍,可以使读者对FPGA的开发流程有深入的了解。Vivado的集成环境较为复杂,通过本书可以对此环境入门。LHDA工|程|技|术丛|书THE DEFINITIVE GUIDE OF XTLINX FPGA DEVELOPMENTBASED ON VIVADO INTEGRATED DEVELOPMENT ENVIRONMENTXilinx FPGA设计权威指南Vivado集成设计环境何宾编著Bin大学出版社内容简介本书全面系统地介绍了inx新一代集成开发环境Ⅴ vado的设计方法、设计流程和具体实现。全书共介8章·内容包括:Ⅴ vado设计导论, Vivadoτ程模式和非工程模式设计流程、 Vivado调试流程、棊于邗的嵌人式系统设计流程、 Vivado hls设计流程、 System generator设计流程、 Vivado部分可重配置设计流程和Ⅴ vado高级设计技术。木书參考∫ⅹliκ公司提供的 vivado最新设计资料.理论与应用并重,将 Xilinx公司最新的设计方法贯穿在具体的设计实现中本书可作为使用 Xilinx vivado集成开发环境进行FPGA设计的工程技术人员的参考用书,也可作为电子信息类专业高年级本科生和研究生的教学用书,同时也可作为 Xilinx公司的培训教材。本书封面贴有清华大学出版社防伪标签,无标签者不得销售。版权所有.侵权必究。侵权举报电话:010-6278298913701121933图书在版编目(CIP)数据linx FpGA设计权威指南:Ⅴ vado集成设计环境/何宾编著.-北京:清华大学出版社,2014EAT程技术丛书ISBN"78-7-302-36688-1.①Ⅹ…Ⅱ.①何…Ⅲ.①可编程序逻辑器件一系统设计一指南Ⅳ.①TP332.1-62中国版本图书馆CIP数据核字(2014)第117171号责任编辑:盛东亮封面设计:李召霞责任校对:焦丽丽责任印制:沈露出版发行:清华大学出版社Atih:http://www.tup.comcn.http://www.wqbook.com地址:北京清华大学学研大厦A座邮编:100084杜总机:010-62770175邮购:010-62786544投稿与读者服务:010-62776969,C-service@tup.tsinghua.edu.cn质量反馈:010-62772015, zhili课件下载:htp://www.tup.com.cn,010-62795954印装者:北京密云胶印厂经销:全国新华书店开本:185mmx260mm印张:23.25字数:536千字版次:2011年7月第1版印次:2014年7月第1次印刷印数:I~3000定价:69.00元产品编号:056522序言在今天日益复杂的电子系统中,高级算法正在拉伸密度、性能和功耗的边界。在逻辑、时钟和IP中持续扩展的复杂度,伴随着微缩处理节点( shrinking process nodes)上进行互连成为关键的瓶颈,这些因素成为设计团队在所分配的有限的预算范围内实现其最终目标的挑战。为了解决互连的瓶颈和加速生产力, Xilinx正在发布领先一代的硅片结构,以及拥有尖端分析布线器的行业首个ASIC增强型 Vivado设计套件。然而,即使拥有了最好的硅片和工具,设计团队也必须采用融合工业中最佳设计实践的规范化的设计方法。因此,为了更进一步增强 Vivado设计套件,并使其能够加速和可预测设计周期, Xilinx还推出了可编程业界内首个丰富的设计方法 UltraFast设计方法。该方法由来自工业界专家精选的最佳实践所组成,而且该方法和一套综合的方法指南、第三方工具、IP核和自学培训视频一起,部署在 Vivado设计套件内建的一个自动化设计组合之中Ultrafast设计方法已经获得广泛的证明,可以将设计周期由数月减少到几周。了解更多Xilinxultrafast设计信息,请访问www.xinx.com/ultrafast。衷心祝贺何宾教授出版行业首本 Vivado中文图书,并为其在 Vivado设计套件及UltraFast设计方法在中国工程界的推广和应用所做出的努力表示衷心的感谢,也期待着Viⅳvado能够为中国工程界的设计创新带来强大的动力!Ramine roaneXilinx公司 Vivado设计套件高级市场营销总监2014年4月前言全球知名的可编程逻辑器件生产厂商—美国 Xilinx公司—于2012年发布了新代的 Vivado集成开发环境,使得新一代FPGA的设计环境和设计方法发生了重要变化。在2014年初, Xilinx新一代 Ultrascale结构的FPGA也进入量产阶段。这些都标志着在高性能数据处理方面,FPGA将发挥越来越重要的作用。同时,我们也很高兴看到2014年 Xilinx公司迎来自己30岁的生日。在未来若干年内, Xilinx将为全球信息技术的不断发展做出自己的贡献Xilinx新一代集成开发环境 Vivado突出基于知识产权( Intellectual Properity,IP)核的设计方法,更加体现系统级设计的思想,进一步增强了设计者对FPGA底层布局和布线的干预能力。并且,允许设计者通过选择不同的设计策略,对不同的实现方法进行探索,从中找到最佳的实现解决方案。这些新的设计思想和设计方法,大大地降低了设计成本,同时也提高了FPGA的设计效率。木书首次系统地以Ⅹiinx公司新一代集成开发环境 Vivado为平台,从逻辑设计、嵌人式系统设计和信号处理等几个方面,充分展现Ⅴ ivao集成开发环境的特点和性能。全书共分为8章,内容包括: vado设计导论、 Vivado工程模式和非工程模式设计流程、Vivado调试流程、基于IP的嵌入式系统设计流程、 Vivado hls设计流程、 SystemGenerator设计流程、 Vivado部分可重配置设计流程、 Vivado高级设计技术。每章内容要点如下:(1)第1章主要介绍了Ⅴ ivao系统级设计流程、 Vivado功能和特性、 Vivado使用模式和最新的 Ultrascale结构。(2)第2章主要介绍了工程模式设计流程和非工程模式设计流程。(3)第3章主要介绍了设计调试原理和方法、创建新的FIFO调试工程、添加FIFOIP到设计中、添加顶层设计文件、FIFO例化、添加约束文件、网表插入调试探测流程方法及实现、使用添加HDL属性调试探测流程、使用HDⅠ例化调试核调试探测流程(4)第4章主要介绍了简单硬件系统设计、在PL内添加外设、创建和添加定制IP编写软件程序、软件控制定时器和调试、使用硬件分析仪调试。(5)第5章主要介绍了高级综合工具概述、高级综合工具调度和绑定、 Vivado hls工具的优势、C代码的关键属性、时钟测量术语说明、HLS关键优化策略、基于HS的数字系统实现。(6)第6章主要介绍了使用 System Generator实现FPGA信号处理的方法、FPGA模型设计模块、 System Generator运行环境的配置、信号模型的构建和实现、编译MATLAB到FPGA、FIR滤波器的设计与实现。(7)第7章主要介绍了可重配置导论和可重配置的实现。(8)第8章主要介绍了 Vivado支持的属性、增量编译、修改布线和逻辑、布局约束、查看和分析时序报告,以及时序约束。参加本书编写工作的人员还有李宝隆和张艳辉。李宝隆负责第3章和第6章设计实例的验证,张艳辉负责第7章设计实例的验证。全书由何宾统稿和定稿本书的编写得到 Xilinx公司大中华区大学计划经理谢凯年博士和 Xilinx公司亚太区市场传播经理张俊伟女士的大力支持和帮助,以及美国 Digilent公司的大力支持和帮助,他们为本书的编写提供了设计资料和硬件设计平台。此外, Mathworks公司中国教育业务发展总监陈炜博士为该书的编写捐赠了正版的 MATLAB R2013a软件,在此也向Mathworks公司表示感谢。正是由于他们的无私帮助和鼎力支持,才能使作者顺利完成本书的编写工作。同时,也要感谢清华大学出版社的编辑和相关工作人员,他们的辛勤工作保证了本书的高质量出版。由于FPGΔ技术发展迅速,作者水平有限,书中难免会有疏漏之处,欢迎读者批评指正作者2014年5月于北京Ⅳ目录第1章 Vivado设计导论,来,,,,,,,,,,.,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,来,,,,,,,,1.1 Vivado系统级设计流程…1.2 Vivado功能和特性1.3 Vivado使用模式……1.3.1 vado工程模式和非工程模式不同点比较1.3.2工程模式和非工程模式命令的不同1345571.4最新的 UltraScale结构1.4.1可配置逻辑块………………………………………………………………71.4.2时钟资源和时钟管理单元1.4.3块存储器资源131.4.4专用的DSP模块…………………………………………………………151.4.5输入/输出块161.4.6高速串行收发器………………171.4.7PC-E模块181.4.8 interlaken集成块181.4.9 Ethernet模块…………………………………………………191.4.10系统监控器模块191.4.11配置模块……………………………………………………………191.4.12互连资源…第2章 Vivado工程模式和非工程模式设计流程…2.1工程模式设计流程212.1.1启动 vado集成开发环境…………212.1.2建立新的设计工程…222.1.3 Vivado设计主界面及功能…262.1.4创建并添加一个新的设计文件……302.1.5RTL描述和分析………………………………………………………342.1.6设计综合和分析362.1.7设计行为级仿真…412.1.8添加约束条件……452.1.9XDC约束语法规则…………………………………………………………492.1.10设计实现和分析………………………………502.1.11设计时序仿真……552.1.12生成编程文件……………………………………………………………56目录2.1.13下载比特流文件到FPGA572.2非工程模式设计流程∴…………………………………612.2.1修改路径……612.2.2设置输出路径,.,,,,622.2.3设置设计源文件和约束…………622.2.4运行综合………………………632.2.5运行布局632.2.6运行布线………………………………………………………………………642.2.7生成比特流文件……………………………………………………64第3章 Vivado调试流程…………………………………………6513.1设计调试原理和方法…653.2创建新的F|FO调试工程……………………………………………………………63.3添加FFOP到设计中,,,,,,.,,,,,,,3.4添加顶层设计文件703.5例化FFO………713.6添加约束文件…753.7网表插入调试探测流程方法及实现…3.7.1网表插入调试探测流程的方法…3.7.2网表插入调试探测流程的实现………………………793.8使用添加HDL属性调试探测流程833.9使用HDL例化调试核调试探测流程……84第4章基于|P的嵌入式系统设计流程4.1简单硬件系统设计…………………………………894.1.1创建新的工程………904.1.2使用P集成器创建处理器系统4.1.3生成顶层HDL和导出设计到SDK……………………………………964.1.4创建存储器测试程序4.1.5验证设计…………………………………………………………………1004.2在PL内添加外设1024.2.1打开工程………1024.2.2添加两个GPO实例1024.2.3连接外部GP|O外设……………∴…1084.2.4生成比特流和导出到SDK……………………………………112Ⅵ目录4.2.5生成测试程序………………………………………………………………1124.2.6验证设计……1164.3创建和添加定制|P……………………………………………………………………1164.3.1使用外设模板创建定制|P…………………………………………1164.3.2使用|P封装器封装外设1224.3.3修改工程设置1244.3.4添加定制|P到设计…………1254.3.5添加约束XDC……1284.3.6添加BRAM1294.4编写软件程序………………………………………………………………………1304.4.1打开工程…………………………………………………………………1304.4.2创建应用工程1324.4.3为LEDP分配驱动1364.4.4分析汇编目标文件……………………………………………………1384.4.5验证设计1384.5软件控制定时器和调试…1404.5.1打开工程………1404.5.2创建SDK软件工程…………………………………1404.5.3在硬件上验证操作…1434.5.4启动调试器1444.6使用硬件分析仪调试……………………………………………………………1464.6.1A核原理……………………………………1474.6.2VO核原理…1504.6.3打开工程…………………………………………………………………1514.6.4添加定制|P………………………………1524.6.5添加‖A和VO核…………1524.6.6标记和分配调试网络……………………………………………………1544.6.7生成比特流文件………………………………………………………………1564.6.8生成测试程序……………1564.6.9测试和调试………………………………………………………………157第5章Viⅳ vado hls设计流程……1635.1高级综合工具概述…1635.1.1高级综合工具的功能和特点…1635.1.2不同的命令对HLS综合结果的影响………………164Ⅶ
VIP VIP
0.212441s