首页| JavaScript| HTML/CSS| Matlab| PHP| Python| Java| C/C++/VC++| C#| ASP| 其他|
购买积分 购买会员 激活码充值

您现在的位置是:虫虫源码 > 其他 > viterbi213 硬判决 c语言代码

viterbi213 硬判决 c语言代码

  • 资源大小:786.10 kB
  • 上传时间:2021-06-30
  • 下载次数:0次
  • 浏览次数:0次
  • 资源积分:1积分
  • 标      签: 算法 c

资 源 简 介

viterbi 硬判决是指解调器根据其判决门限对接收到的信号波形直接进行判决后输出0或1,换句话说,就是解调器供给译码器作为译码用的每个码元只取0或1两个值,以序列之间的汉明距离作为度量进行译码,适用于二进制对称信道(BSC)

文 件 列 表

viterbi-213test
215test.cpp
215test.dsp
215test.dsw
215test.ncb
215test.opt
215test.plg
215test.sln
215test.suo
215test.vcproj
215test.vcproj.LB-201209032229.Administrator.user
Debug
VIP VIP
0.190712s