首页| JavaScript| HTML/CSS| Matlab| PHP| Python| Java| C/C++/VC++| C#| ASP| 其他|
购买积分 购买会员 激活码充值

您现在的位置是:虫虫源码 > 其他 > SPI verilog 的实现 详细全面

SPI verilog 的实现 详细全面

资 源 简 介

SPI的Verilog实现(非常的全面和详细,还带有SPI算法的注解),此代码是SPI接口的Master和slaver 的Verilog源代码

文 件 列 表

SPI的Verilog实现(非常的全面和详细,还带有SPI算法的注解)
vspi.v
VIP VIP
0.175700s