首页| JavaScript| HTML/CSS| Matlab| PHP| Python| Java| C/C++/VC++| C#| ASP| 其他|
购买积分 购买会员 激活码充值

您现在的位置是:虫虫源码 > 其他 > 浮动点加法器 32 位

浮动点加法器 32 位

  • 资源大小:42.88 kB
  • 上传时间:2021-06-30
  • 下载次数:0次
  • 浏览次数:0次
  • 资源积分:1积分
  • 标      签: Verilog verilog 浮动 加法器

资 源 简 介

浮点加法器 32 位使用 verilogused 添加 2 浮点数......

文 件 列 表

adder
__Previews
c_test
stim_z
.gitignore
adder.v
file_reader_a.v
file_reader_b.v
file_writer.v
run_test.py
test_bench.v
test_bench_tb.v
VIP VIP
  • Zzz 1天前 成为了本站会员

  • Katou Megumi 1天前 成为了本站会员

  • 1天前 成为了本站会员

  • 流浪 1天前 成为了本站会员

  • 也是一生 1天前 成为了本站会员

  • king666 2天前 成为了本站会员

  • ﹏約啶℡ 2天前 成为了本站会员

  • Long for 2天前 成为了本站会员

  • 2天前 成为了本站会员

  • 金. 2天前 成为了本站会员

0.172324s