首页| JavaScript| HTML/CSS| Matlab| PHP| Python| Java| C/C++/VC++| C#| ASP| 其他|
购买积分 购买会员 激活码充值

您现在的位置是:虫虫源码 > 其他 > cordic的FPGA实现

cordic的FPGA实现

  • 资源大小:204.52 kB
  • 上传时间:2021-06-30
  • 下载次数:0次
  • 浏览次数:0次
  • 资源积分:1积分
  • 标      签: cordic vhdl

资 源 简 介

CORDIC(Coordinate Rotation Digital Computer)算法即坐标旋转数字计算方法,是J.D.Volder1于1959年首次提出,主要用于三角函数、双曲线、指数、对数的计算。该算法通过基本的加和移位运算代替乘法运算,使得矢量的旋转和定向的计算不再需要三角函数、乘法、开方、反三角、指数等函数。 里面包含了sin,cos运算以及反正弦余弦运算,有文档,代码,个人仿真也没问题。cyclone4编译占用1050le。

文 件 列 表

cordic-正弦余弦计算
角度转幅度
坐标转角度2
坐标转角度
cordic.doc
cordic.pdf
VIP VIP
0.163535s