首页| JavaScript| HTML/CSS| Matlab| PHP| Python| Java| C/C++/VC++| C#| ASP| 其他|
购买积分 购买会员 激活码充值

您现在的位置是:虫虫源码 > 其他 > AD9516 PLL芯片FPGA SPI配置程序

AD9516 PLL芯片FPGA SPI配置程序

资 源 简 介

在FPGA上编写的通过SPI总线配置外部PLL芯片AD9516的程序,通过板级调试,验证可用。程序通过状态机实现,将需要配置的寄存器值转为SPI总线的数据格式发送出去。

文 件 列 表

ad9516_ini.vhd
ad9516_spi.vhd
VIP VIP
0.184889s