首页| JavaScript| HTML/CSS| Matlab| PHP| Python| Java| C/C++/VC++| C#| ASP| 其他|
购买积分 购买会员 激活码充值

您现在的位置是:虫虫源码 > 其他 > 交通灯 verilog

交通灯 verilog

  • 资源大小:3.72 MB
  • 上传时间:2021-06-30
  • 下载次数:0次
  • 浏览次数:0次
  • 资源积分:1积分
  • 标      签: Verilog verilog 交通灯

资 源 简 介

有限状态机的交通灯控制,整个项目为工作从 xilinx,ISE 设计套件中添加和配置用于在斯巴达 3,从 digilent 的 nexys 2,具有每个归档文件和项目文件,适合两路交通灯号,交通和行人的要求的传感器。

文 件 列 表

xst
work
dump.xst
LightsControl.prj
ngx
notopt
dump.xst
projnav.tmp
isim
work
temp
pn_info
isim_usage_statistics.html
Test3_isim_beh.exe.sim
isimcrash.log
TestFinal_isim_beh.exe.sim
isimcrash.log
Test3_isim_beh.exe.sim
TestFinal_isim_beh.exe.sim
iseconfig
LightsControl.xreport
TrafficLightsControl.projectmgr
TrafficLightsControl.xreport
ipcore_dir
_xmsgs
pn_parser.xmsgs
xst.xmsgs
fuseRelaunch.cmd
isim.cmd
isim.log
LightsControl.cmd_log
LightsControl.lso
LightsControl.ngc
LightsControl.ngr
LightsControl.prj
LightsControl.stx
LightsControl.syr
LightsControl.v
LightsControl.xst
LightsControl_envsettings.html
LightsControl_summary.html
LightsControl_xst.xrpt
SecondsCounter.bmm
SecondsCounter.v
T1.v
T1_isim_beh.exe
T2.v
T2_isim_beh.exe
t4.v
t4_isim_beh.exe
t4_stx_beh.prj
Test3.v
Test3_isim_beh.exe
TestFinal.v
TestFinal_beh.prj
TestFinal_isim_beh.exe
TestFinal_isim_beh.wdb
TestFinal_isim_beh1.wdb
TestFinal_stx_beh.prj
Timer.bmm
Timer.v
TimerControl.cmd_log
TimerControl.lso
TimerControl.ngc
TimerControl.ngr
TimerControl.prj
TimerControl.stx
TimerControl.syr
TimerControl.v
TimerControl.xst
TimerControl_envsettings.html
TimerControl_summary.html
TimerControl_xst.xrpt
TrafficLightsControl.cmd_log
TrafficLightsControl.gise
TrafficLightsControl.lso
TrafficLightsControl.ngc
TrafficLightsControl.ngr
TrafficLightsControl.prj
TrafficLightsControl.stx
TrafficLightsControl.syr
TrafficLightsControl.v
TrafficLightsControl.xise
TrafficLightsControl.xst
TrafficLightsControl_envsettings.html
TrafficLightsControl_summary.html
TrafficLightsControl_xst.xrpt
webtalk_pn.xml
xilinxsim.ini
fuse.log
fuse.xmsgs
VIP VIP
0.178478s