首页| JavaScript| HTML/CSS| Matlab| PHP| Python| Java| C/C++/VC++| C#| ASP| 其他|
购买积分 购买会员 激活码充值

您现在的位置是:虫虫源码 > 其他 > 用FPGA实现电子钟

用FPGA实现电子钟

  • 资源大小:236.22 kB
  • 上传时间:2021-06-30
  • 下载次数:0次
  • 浏览次数:0次
  • 资源积分:1积分
  • 标      签: Verilog verilog fpga 实现 电子钟

资 源 简 介

这是用verilog语言所编写的一个数字时钟程序,并在FPGA开发板上运行成功。相比于其他语言,veilog语言更加简洁,因此此程序包括各个模块,可以在开发板上仿真。

文 件 列 表

shuzi
ADC0809.bsf
ADC0809.v
ADC0809.v.bak
db
incremental_db
shuzi.asm.rpt
shuzi.bdf
shuzi.done
shuzi.fit.rpt
shuzi.fit.summary
shuzi.flow.rpt
shuzi.map.rpt
shuzi.map.summary
shuzi.pin
shuzi.pof
shuzi.qpf
shuzi.qsf
shuzi.qws
shuzi.sof
shuzi.tan.rpt
shuzi.tan.summary
zhuanhuan.bsf
zhuanhuan.v
zhuanhuan.v.bak
VIP VIP
0.272650s