首页| JavaScript| HTML/CSS| Matlab| PHP| Python| Java| C/C++/VC++| C#| ASP| 其他|
购买积分 购买会员 激活码充值

您现在的位置是:虫虫源码 > 其他 > 多周期cpu实现 计算机组成

多周期cpu实现 计算机组成

资 源 简 介

多周期CPU源代码 verilog 自己写的 有仿真 实现了22条指令 比较完善 计算机组成课上的作业 没有根据实验书写,是自己实现的,代码稍微繁杂

文 件 列 表

多周期CPU
.lso
BTN_Anti_jitter.v
D_mem.coe
I_mem.coe
Top_Simple_CPU_App.bld
Top_Simple_CPU_App.cmd_log
Top_Simple_CPU_App.lso
Top_Simple_CPU_App.ncd
Top_Simple_CPU_App.ngc
Top_Simple_CPU_App.ngd
Top_Simple_CPU_App.ngr
Top_Simple_CPU_App.pad
Top_Simple_CPU_App.par
Top_Simple_CPU_App.pcf
Top_Simple_CPU_App.prj
Top_Simple_CPU_App.ptwx
Top_Simple_CPU_App.stx
Top_Simple_CPU_App.twr
Top_Simple_CPU_App.twx
Top_Simple_CPU_App.unroutes
Top_Simple_CPU_App.ut
Top_Simple_CPU_App.v
Top_Simple_CPU_App.xpi
Top_Simple_CPU_App.xst
Top_Simple_CPU_App_bitgen.xwbt
Top_Simple_CPU_App_envsettings.html
Top_Simple_CPU_App_guide.ncd
Top_Simple_CPU_App_isim_beh.exe
Top_Simple_CPU_App_map.map
Top_Simple_CPU_App_map.mrp
Top_Simple_CPU_App_map.ncd
Top_Simple_CPU_App_map.ngm
Top_Simple_CPU_App_map.xrpt
Top_Simple_CPU_App_ngdbuild.xrpt
Top_Simple_CPU_App_pad.csv
Top_Simple_CPU_App_pad.txt
Top_Simple_CPU_App_summary.html
Top_Simple_CPU_App_summary.xml
Top_Simple_CPU_App_usage.xml
Top_Simple_CPU_App_xst.xrpt
_ngo
_xmsgs
adder_32bits_calculate.v
alu.v
alu.v.dump
alu_isim_beh.exe
clk_div.v
clk_div_isim_beh.exe
clk_div_isim_beh1.wdb
coregen_xil_10876_20.cgc
coregen_xil_10876_20.cgp
cpu_out.prj
cpu_out.stx
cpu_out.v
cpu_out.xst
display.v
hex7seg_decode.prj
hex7seg_decode.stx
hex7seg_decode.v
hex7seg_decode.xst
ipcore_dir
iseconfig
isim
isim.cmd
multi_cpu.gise
multi_cpu.prj
multi_cpu.stx
multi_cpu.v
multi_cpu.xise
multi_cpu.xst
multi_cpu_ctrl.v
multi_cpu_ctrl.v.dump
multi_cpu_ctrl_isim_beh.exe
multi_cpu_isim_beh.exe
pbdebounce.v
ram_multi.mif
regs.v
regs_isim_beh.exe
regs_stx_beh.prj
rom1.mif
rom_multi.mif
single_cpu.prj
single_cpu.stx
single_cpu.v
single_cpu.xst
single_cpu_beh.prj
test_cpu.v
test_cpu_isim_beh.exe
test_cpu_isim_beh1.wdb
test_cpu_stx_beh.prj
test_cpuout.v
test_cpuout_beh.prj
test_cpuout_isim_beh.exe
test_cpuout_isim_beh.wdb
test_single_cpu.v
test_top.v
test_top_beh.prj
test_top_isim_beh.exe
test_top_isim_beh.wdb
test_top_stx_beh.prj
test_wave.v
test_wave_hex7seg_decode.v
timer_1ms.v
top_simple_cpu_app.bgn
top_simple_cpu_app.bit
top_simple_cpu_app.drc
top_ucf.ucf
usage_statistics_webtalk.html
webtalk_pn.xml
xilinxsim.ini
xlnx_auto_0_xdb
xst
VIP VIP
  • 大智若愚 5小时前 成为了本站会员

  • Mason 7小时前 成为了本站会员

  • 8小时前 成为了本站会员

  • Half_Punch 1天前 成为了本站会员

  • liqing71718 1天前 成为了本站会员

  • 伟国 1天前 成为了本站会员

  • songy 1天前 成为了本站会员

  • 纯色幽默 1天前 成为了本站会员

  • odd? 1天前 成为了本站会员

  • 52JOY... 1天前 成为了本站会员

0.178233s