首页| JavaScript| HTML/CSS| Matlab| PHP| Python| Java| C/C++/VC++| C#| ASP| 其他|
购买积分 购买会员 激活码充值

您现在的位置是:虫虫源码 > 其他 > 基于VHDL数字钟的设计与分析

基于VHDL数字钟的设计与分析

  • 资源大小:3.07 MB
  • 上传时间:2021-06-30
  • 下载次数:0次
  • 浏览次数:0次
  • 资源积分:1积分
  • 标      签: VHDL vhdl 分析 基于 设计 数字钟

资 源 简 介

数字钟是一种用数字电路实现时,分,秒计时的装置,与机械性时钟相比具有更高的准确性和直观性,且无机械装置,具有更长的使用寿命,因此得到了广泛的使用。数字中从原理上讲是一种典型的数字电路,其中包括了组合逻辑电路和时序电路。因此,我们此次设计与制作数字钟就是为了了解数字钟的原理,从而学会制作数字钟,而且通过数字钟的制作进一步了解各种在制作中用到的中小规模集成电路的作用及实现方法。且由于数字钟包括组合逻辑电路和时序电路,通过它们可以进一步学习与掌握各种组合逻辑电路和时序电路的原理与使用方法。

文 件 列 表

数 字 钟 的 设 计
top
seltime
second
minute
hour
deled
alert
基于VHDL数字钟的设计与分析 - 副本 (2).doc
数 字 钟 的 设 计.doc
VIP VIP
0.199887s