首页| JavaScript| HTML/CSS| Matlab| PHP| Python| Java| C/C++/VC++| C#| ASP| 其他|
购买积分 购买会员 激活码充值

您现在的位置是:虫虫源码 > 其他 > VHDL呼吸灯程序,VHDL学习例程

VHDL呼吸灯程序,VHDL学习例程

  • 资源大小:6.48 MB
  • 上传时间:2021-06-30
  • 下载次数:0次
  • 浏览次数:1次
  • 资源积分:1积分
  • 标      签: VHDL vhdl 程序 学习 呼吸

资 源 简 介

本代码绝对真实可靠,VHDL语言写的FPGA呼吸灯。大家可以参考学习,对于VHDL入门还是很有帮助的。库 ieee ; 使用 ieee.std_logic_1164.all ; 使用 ieee.std_logic_arith.all ; 使用 ieee.std_logic_unsigned.all ;已通过编译,已实现呼吸灯功能。 

文 件 列 表

led1
db
eda_test
led1_component
led1_sch
led1_vhdl
VIP VIP
0.167651s