首页| JavaScript| HTML/CSS| Matlab| PHP| Python| Java| C/C++/VC++| C#| ASP| 其他|
购买积分 购买会员 激活码充值

您现在的位置是:虫虫源码 > 其他 > verilog 的sobel算法

verilog 的sobel算法

  • 资源大小:7.98 kB
  • 上传时间:2021-06-30
  • 下载次数:0次
  • 浏览次数:0次
  • 资源积分:1积分
  • 标      签: 图像处理 verilog 算法 sobel

资 源 简 介

在fpga中对图像处理时,会用到sobel边缘检测的算法,本算法使用的是verilog语言编写的,对图像的边缘轮廓检测很实用

文 件 列 表

Sobel
LineBuffer_3.v
MAC_3.v
PA_3.v
Sobel.v
SQRT.v
VIP VIP
0.198965s