首页| JavaScript| HTML/CSS| Matlab| PHP| Python| Java| C/C++/VC++| C#| ASP| 其他|
购买积分 购买会员 激活码充值

您现在的位置是:虫虫源码 > 其他 > 单周期数据通路verilog

单周期数据通路verilog

  • 资源大小:5.32 kB
  • 上传时间:2021-06-30
  • 下载次数:0次
  • 浏览次数:0次
  • 资源积分:1积分
  • 标      签: Verilog

资 源 简 介

根据单周期数据通路的设计流程及各种控制信号设计了这个单周期 CPU在处理指令时,一般需要经过以下几个步骤:    (1) 取指令(IF):根据程序计数器PC中的指令地址,从存储器中取出一条指令,同时,PC根据指令字长度自动递增产生下一条指令所需要的指令地址,但遇到“地址转移”指令时,则控制器把“转移地址”送入PC,当然得到的“地址”需要做些变换才送入PC。    (2) 指令译码(ID):对取指令操作中得到的指令进行分析并译码,确定这条指令需要完成的操作,从而产生相应的操作控制信号,用于驱动执行状态中的各种操作。    (3) 指令执行(EXE):根据指令译码得到的操作控制信号,具体地执行指令动作,然后转移到结果写回状态。    (4) 存储器访问(MEM):所有需要访问存储器的操作都将在这个步骤中执行,该步骤给出存储器的数据地址,把数据写入到存储器中数据地址所指定的存储单元或者从存储器中得到数据地址单元中的数据。    (5) 结果写回(WB):指令执行的结果或者访问存储器中得到的数据写回相应的目的寄存器中。    单周期CPU,是在一个时钟周期内完成这五个阶段的处理。

文 件 列 表

ALU.V
ControlUnit.v
DataMemory.v
Instructon_Memory.v
Multiplexer5.v
Multiplexer_32.v
PC.v
PCAddImm.v
RegistFile.v
SignZeroExtend.v
SingleCPU.v
my_rom_data.coe
pc_4.v
test_bench_tb.v
VIP VIP
0.180349s