首页| JavaScript| HTML/CSS| Matlab| PHP| Python| Java| C/C++/VC++| C#| ASP| 其他|
购买积分 购买会员 激活码充值

您现在的位置是:虫虫源码 > 其他 > 经典的dds发生器ad9851vhdl的并行通信代码,能实现6倍频和正弦波的输出。不好k我。...

经典的dds发生器ad9851vhdl的并行通信代码,能实现6倍频和正弦波的输出。不好k我。...

  • 资源大小:576.78 kB
  • 上传时间:2021-06-30
  • 下载次数:0次
  • 浏览次数:0次
  • 资源积分:1积分
  • 标      签: 嵌入式系统

资 源 简 介

经典的dds发生器ad9851vhdl的并行通信代码,能实现6倍频和正弦波的输出。不好k我。-Dds generator ad9851vhdl classic parallel communication code, to achieve and 6 octave sine wave output. K my bad.

文 件 列 表

ad9851-1
_xmsgs
xst
_ngo
ad9851-1.ise
ad9851.ngr
ad9851.ngc
ad9851.bld
ad9851.ngd
ad9851_map.mrp
ad9851_map.ngm
ad9851.par
ad9851-1.ise_ISE_Backup
ad9851_map.ncd
ad9851.ncd
ad9851.xpi
ad9851_pad.csv
ad9851.pad
ad9851_pad.txt
ad9851.unroutes
ad9851.twx
ad9851.twr
ad9851.bgn
ad9851.drc
ad9851.bit
_impact.log
_impact.cmd
ad9851.vhd
ad9851_summary.html
ad9851.ucf
ad9851.prj
ad9851.xst
ad9851.cmd_log
ad9851.syr
ad9851.lso
ad9851.stx
ad9851.pcf
ad9851.ut
ad9851.lfp
pepExtractor.prj
ad9851_last_par.ncd
key.vhd
VIP VIP
0.190407s