首页| JavaScript| HTML/CSS| Matlab| PHP| Python| Java| C/C++/VC++| C#| ASP| 其他|
购买积分 购买会员 激活码充值

您现在的位置是:虫虫源码 > 其他 > vhdl语言实现的频率发生器,可以产生不同的频率

vhdl语言实现的频率发生器,可以产生不同的频率

  • 资源大小:1.48 kB
  • 上传时间:2021-06-30
  • 下载次数:0次
  • 浏览次数:0次
  • 资源积分:1积分
  • 标      签: VHDL others

资 源 简 介

vhdl语言实现的频率发生器,可以产生不同的频率-A frequency generator wirriten by VHDL, which can generate different frequecies.

文 件 列 表

exp17.vhd
VIP VIP
0.178693s