首页| JavaScript| HTML/CSS| Matlab| PHP| Python| Java| C/C++/VC++| C#| ASP| 其他|
购买积分 购买会员 激活码充值

您现在的位置是:虫虫源码 > 其他 > Verilog代码。注册成功,对FPGA的使用标准单元库…

Verilog代码。注册成功,对FPGA的使用标准单元库…

  • 资源大小:4.00 kB
  • 上传时间:2021-06-30
  • 下载次数:0次
  • 浏览次数:0次
  • 资源积分:1积分
  • 标      签: VHDL others

资 源 简 介

verilog 代码. 经验证成功,可以作为标准单元库,为FPGA设计者使用.-Verilog code. Certified success, as a standard cell library for the use of FPGA designers.

文 件 列 表

bin2gry.sum
bin2gry.v
bin2gry.scr
bin2gry.lsp
VIP VIP
0.175208s