首页| JavaScript| HTML/CSS| Matlab| PHP| Python| Java| C/C++/VC++| C#| ASP| 其他|
购买积分 购买会员 激活码充值

您现在的位置是:虫虫源码 > 其他 > 数字锁相环的源代码。用硬件编程语言VHDL编写。

数字锁相环的源代码。用硬件编程语言VHDL编写。

  • 资源大小:2.33 kB
  • 上传时间:2021-06-30
  • 下载次数:0次
  • 浏览次数:0次
  • 资源积分:1积分
  • 标      签: MiddleWare others

资 源 简 介

数字锁相环的源代码。用硬件编程语言VHDL编写。-Digital phase-locked loop

文 件 列 表

system.vhd
dpll.txt
VIP VIP
0.188987s