首页| JavaScript| HTML/CSS| Matlab| PHP| Python| Java| C/C++/VC++| C#| ASP| 其他|
购买积分 购买会员 激活码充值

您现在的位置是:虫虫源码 > 其他 > VHDL 0~

VHDL 0~

  • 资源大小:133.98 kB
  • 上传时间:2021-06-30
  • 下载次数:0次
  • 浏览次数:0次
  • 资源积分:1积分
  • 标      签: VHDL others

资 源 简 介

程序用VHDL实现: 利用一秒定时测量频率 并且显示,范围0~-VHDL 0~

文 件 列 表

plj
count8.vhd
mux2.vhd
count24.vhd
count60.vhd
control.bsf
counter10.bsf
display.bsf
frequ.bdf
frequ.qpf
control.vhd
led7.bdf
f_dis.vhd
display.vhd
frequ.qsf
frequ.map.eqn
frequ.map.rpt
frequ.flow.rpt
frequ.map.summary
frequ.fit.eqn
frequ.pin
frequ.fit.rpt
frequ.fit.summary
frequ.sof
frequ.pof
frequ.asm.rpt
frequ.tan.summary
frequ.tan.rpt
frequ.done
frequ.qws
cmp_state.ini
mux2.acf
mux2.hif
count24.acf
count60.acf
count60.hif
count24.hif
frequ_assignment_defaults.qdf
frequ.sim.rpt
frequ.bsf
counter10.vhd
display2.bsf
display2.vhd
frequ.vwf
control.vwf
db
frequ.tan.qmsg
db
VIP VIP
0.173883s