首页| JavaScript| HTML/CSS| Matlab| PHP| Python| Java| C/C++/VC++| C#| ASP| 其他|
购买积分 购买会员 激活码充值

您现在的位置是:虫虫源码 > 其他 > CPLD drives with digital control, of from 0000 to 9999, digital control is a dyn...

CPLD drives with digital control, of from 0000 to 9999, digital control is a dyn...

  • 资源大小:1.39 MB
  • 上传时间:2021-06-30
  • 下载次数:0次
  • 浏览次数:0次
  • 资源积分:1积分
  • 标      签: VHDL vhdl

资 源 简 介

用CPLD驱动数码管,实现从0000计到9999,数码管是用动态显示,程序用VERILOG完成的-CPLD drives with digital control, of from 0000 to 9999, digital control is a dynamic display, the program completed with VERILOG

文 件 列 表

shumaguan
shumaguan
db
div.asm.rpt
div.bsf
div.done
div.fit.rpt
div.fit.smsg
div.fit.summary
div.flow.rpt
div.map.rpt
div.map.smsg
div.map.summary
div.pin
div.pof
div.qpf
div.qsf
div.qws
div.tan.rpt
div.tan.summary
div.v
hao.asm.rpt
hao.bdf
hao.cdf
hao.done
hao.fit.rpt
hao.fit.smsg
hao.fit.summary
hao.flow.rpt
hao.map.rpt
hao.map.smsg
hao.map.summary
hao.pin
hao.pof
hao.qpf
hao.qsf
hao.qws
hao.tan.rpt
hao.tan.summary
jishuqi.asm.rpt
jishuqi.bsf
jishuqi.done
jishuqi.fit.rpt
jishuqi.fit.smsg
jishuqi.fit.summary
jishuqi.flow.rpt
jishuqi.map.rpt
jishuqi.map.smsg
jishuqi.map.summary
jishuqi.pin
jishuqi.pof
jishuqi.qpf
jishuqi.qsf
jishuqi.qws
jishuqi.sof
jishuqi.tan.rpt
jishuqi.tan.summary
jishuqi.v
Tcl_script1.tcl
VIP VIP
0.192476s