首页| JavaScript| HTML/CSS| Matlab| PHP| Python| Java| C/C++/VC++| C#| ASP| 其他|
购买积分 购买会员 激活码充值

您现在的位置是:虫虫源码 > 其他 > 基于Verilog HDL的单周期CPU设计

基于Verilog HDL的单周期CPU设计

  • 资源大小:8.76 kB
  • 上传时间:2021-06-30
  • 下载次数:0次
  • 浏览次数:0次
  • 资源积分:1积分
  • 标      签: Verilog

资 源 简 介

基于Verilog HDL 的单周期CPU设计。基于Verilog HDL 的单周期CPU设计。基于Verilog HDL 的单周期CPU设计。基于Verilog HDL 的单周期CPU设计。cpp码

文 件 列 表

cpp码
SCPU_ALU.cpp
SCPU_ControlUnit.cpp
SCPU_DataMEM.cpp
SCPU_Ext_Immediate_Shift.cpp
SCPU_Extend.cpp
SCPU_InsMEM.cpp
SCPU_Mux_ALUSrcB.cpp
SCPU_Mux_DBDataSrc.cpp
SCPU_Mux_PCSrc.cpp
SCPU_Mux_RegDst.cpp
SCPU_PC.cpp
SCPU_RegisterFile.cpp
SCPU_TOP.cpp
test.cpp
VIP VIP
0.205596s