首页| JavaScript| HTML/CSS| Matlab| PHP| Python| Java| C/C++/VC++| C#| ASP| 其他|
购买积分 购买会员 激活码充值

您现在的位置是:虫虫源码 > 其他 > 电子闹钟:基于fpga的电子闹钟设计,采用模块化方式

电子闹钟:基于fpga的电子闹钟设计,采用模块化方式

资 源 简 介

电子闹钟:基于fpga的电子闹钟设计,采用模块化方式-Electronic alarm: FPGA-based electronic alarm clock design, modular approach

文 件 列 表

alarm_system
db
fq_divider
display_driver
alarm_reg
alarm_counter
key_buffer
decoder
alarm_controller
p_alarm.vhd
alarm_clock.vhd
alarm_clock.qpf
alarm_clock.qsf
alarm_clock.map.rpt
alarm_clock.flow.rpt
alarm_clock.map.summary
alarm_clock.qws
alarm_clock.pin
alarm_clock.fit.rpt
alarm_clock.fit.smsg
alarm_clock.fit.summary
alarm_clock.sof
alarm_clock.pof
alarm_clock.asm.rpt
alarm_clock.tan.summary
alarm_clock.tan.rpt
alarm_clock.done
alarm_clock.dpf
alarm_clock.cdf
VIP VIP
0.277984s