首页| JavaScript| HTML/CSS| Matlab| PHP| Python| Java| C/C++/VC++| C#| ASP| 其他|
购买积分 购买会员 激活码充值

您现在的位置是:虫虫源码 > 其他 > Verilog编写的简单异步串口 完全原创,站长请查看内容

Verilog编写的简单异步串口 完全原创,站长请查看内容

  • 资源大小:283.40 kB
  • 上传时间:2021-06-30
  • 下载次数:0次
  • 浏览次数:0次
  • 资源积分:1积分
  • 标      签: VHDL others

资 源 简 介

Verilog编写的简单异步串口 完全原创,站长请查看内容-Verilog prepared by the simple asynchronous serial completely original, the station can be accessed content

文 件 列 表

Uart_TR
db
Uart_TR.qpf
Uart_TR.qsf
Uart_TR.map.rpt
Uart_TR.flow.rpt
Uart_TR.map.summary
Uart_TR.v
Uart_TR.map.eqn
Uart_TR.done
Uart_TR.fit.eqn
Uart_TR.pin
Uart_TR.fit.rpt
Uart_TR.fit.summary
Uart_TR.sof
Uart_TR.pof
Uart_TR.asm.rpt
Uart_TR.tan.summary
Uart_TR.tan.rpt
Uart_TR.cdf
Uart_TR.qws
cmp_state.ini
VIP VIP
0.170324s