首页| JavaScript| HTML/CSS| Matlab| PHP| Python| Java| C/C++/VC++| C#| ASP| 其他|
购买积分 购买会员 激活码充值

您现在的位置是:虫虫源码 > 其他 > ddr3 sdram 控制器代码,verilog

ddr3 sdram 控制器代码,verilog

  • 资源大小:60.46 kB
  • 上传时间:2021-06-30
  • 下载次数:0次
  • 浏览次数:0次
  • 资源积分:1积分
  • 标      签: Verilog

资 源 简 介

根据DDR3的特点和操作原理,利用MIG软件工具在Virtex-6系列FPGA中实现DDR3SDRAM控制器的设计,并给出了硬件测试的结果,代码为verilog,通过仲裁机制完成设计,希望对学习fpga的人有帮助

文 件 列 表

1024Mb_ddr3_parameters.vh
2048Mb_ddr3_parameters.vh
4096Mb_ddr3_parameters.vh
ddr3.v
ddr3_mcp.v
ddr3_module.v
mem_init.txt
readme.txt
subtest.vh
tb.v
VIP VIP
0.181049s