首页| JavaScript| HTML/CSS| Matlab| PHP| Python| Java| C/C++/VC++| C#| ASP| 其他|
购买积分 购买会员 激活码充值

您现在的位置是:虫虫源码 > 其他 > fpga数字钟1602设计

fpga数字钟1602设计

  • 资源大小:7.39 kB
  • 上传时间:2021-06-30
  • 下载次数:0次
  • 浏览次数:0次
  • 资源积分:1积分
  • 标      签: 驱动 vhdl fpga 设计

资 源 简 介

制氧机, 请点击左侧文件开始预览 !预览只提供20%的代码片段,完整代码需下载后查看 加载中 侵权举报 打分 发表评论 暂无评论 0 粉丝 0 发布 0 获赞 关注 查看主页 vhdlfpga设计 相关源码推荐 基于FPGA的不同阶数PRBS码型生成器 0 0 暂无评分一些FPGA例程 0 0 暂无评分设计模式总结之Observer Pattern——观察者模式 0 0 暂无评分设计模式——组合模式 0 0 暂无评分基于VHDL的数字秒表设计 0 0 暂无评分 介绍信息预览(0条)评论打分

文 件 列 表

VHDL_LCD1602
VHDL_LCD1602.doc
VIP VIP
0.184805s