首页| JavaScript| HTML/CSS| Matlab| PHP| Python| Java| C/C++/VC++| C#| ASP| 其他|
购买积分 购买会员 激活码充值

您现在的位置是:虫虫源码 > 其他 > verilog流水灯例程

verilog流水灯例程

  • 资源大小:2.39 kB
  • 上传时间:2021-06-30
  • 下载次数:0次
  • 浏览次数:0次
  • 资源积分:1积分
  • 标      签: Verilog

资 源 简 介

LED 流水灯例程  本章将介绍从新建一个项目, 以及到最后下载到 FPGA 的全过程,让初次接触 FPGA 的朋友,如何使用 FPGA 进行一个简易项目的开发,有个直面的感性认识,只有通过学习本章的内容,您才算是 FPGA 开发入门了。在投简历的时候才可以夸张的说熟练掌握 FPGA 的开发啦(^_^)。  在例程中,我们要做的是流水灯实验,顾名思义就是要 LED 像流水一样的点亮,这样说吧,就是先单独点亮第一个,然后点亮第二个,然后……。不解释这么多了, 大家还是看实验结果吧!

文 件 列 表

_primary.vhd
led_test.v
vtf_led_test.v
LED_bak.v
VIP VIP
0.232899s