首页| JavaScript| HTML/CSS| Matlab| PHP| Python| Java| C/C++/VC++| C#| ASP| 其他|
购买积分 购买会员 激活码充值

您现在的位置是:虫虫源码 > 其他 > 移位寄存器(右移和左移)

移位寄存器(右移和左移)

  • 资源大小:1.31 kB
  • 上传时间:2021-06-30
  • 下载次数:0次
  • 浏览次数:0次
  • 资源积分:1积分
  • 标      签: Verilog

资 源 简 介

module shiftrne(R,L,E,w,Clock,Q);   parameter n=4;   input [n-1:0]R;   input L,E,w,Clock;   output reg [n-1:0]Q;   integer k;      always@(posedge Clock)   begin     if(L)         Q<=R;     else if(E)       begin         Q[n-1]<=w;         for(k=n-2;k>=0;k=k-1)         Q[k]<=Q[k+1];       end     end   endmodule

文 件 列 表

shiftne_t.v.bak
shiftne_t.v
shiftrne.v
shiftlne.v
shiftlne_t.v
VIP VIP
  • IATWAY 2小时前 成为了本站会员

  • 大智若愚 8小时前 成为了本站会员

  • Mason 10小时前 成为了本站会员

  • 11小时前 成为了本站会员

  • Half_Punch 1天前 成为了本站会员

  • liqing71718 1天前 成为了本站会员

  • 伟国 1天前 成为了本站会员

  • songy 1天前 成为了本站会员

  • 纯色幽默 1天前 成为了本站会员

  • odd? 1天前 成为了本站会员

0.178473s