首页| JavaScript| HTML/CSS| Matlab| PHP| Python| Java| C/C++/VC++| C#| ASP| 其他|
购买积分 购买会员 激活码充值

您现在的位置是:虫虫源码 > 其他 > 用Verilog实现的八位存储器参考代码

用Verilog实现的八位存储器参考代码

  • 资源大小:993.55 kB
  • 上传时间:2021-06-30
  • 下载次数:0次
  • 浏览次数:0次
  • 资源积分:1积分
  • 标      签: Verilog

资 源 简 介

我们按照应用的需求来定义计算机,本文介绍一个非常简单的CPU的设计,它仅仅用来教学使用的。我们规定它可以存取的存储器为64byte,其中1byte=8bits。所以这个CPU就有6位的地址线A[5:0],和8位的数据线D[7:0]。   我们仅定义一个通用寄存器AC(8bits寄存器),它仅仅执行4条指令如下:   Instruction   Instruction Code   Operation   ADD   00AAAAAA 请点击左侧文件开始预览 !预览只提供20%的代码片段,完整代码需下载后查看 加载中 侵权举报

文 件 列 表

2017计组实验
8位存储器参考代码
Cache控制器设计实验.docx
VIP VIP
0.187565s