首页| JavaScript| HTML/CSS| Matlab| PHP| Python| Java| C/C++/VC++| C#| ASP| 其他|
购买积分 购买会员 激活码充值

您现在的位置是:虫虫源码 > 其他 > VHDL实现的8位乘法器,所有仿真全部通过

VHDL实现的8位乘法器,所有仿真全部通过

  • 资源大小:245.03 kB
  • 上传时间:2021-06-30
  • 下载次数:0次
  • 浏览次数:0次
  • 资源积分:1积分
  • 标      签: VHDL vhdl

资 源 简 介

VHDL实现的8位乘法器,所有仿真全部通过-VHDL to achieve 8-bit multiplier

文 件 列 表

multi8x8
db
add4b.vhd
ADDER8B.vhd
ANDARITH.vhd
ARICTL.vhd
multi8x8.asm.rpt
multi8x8.bdf
multi8x8.done
multi8x8.fit.eqn
multi8x8.fit.rpt
multi8x8.fit.summary
multi8x8.flow.rpt
multi8x8.map.eqn
multi8x8.map.rpt
multi8x8.map.summary
multi8x8.pin
multi8x8.pof
multi8x8.qpf
multi8x8.qsf
multi8x8.qws
multi8x8.sim.rpt
multi8x8.sof
multi8x8.tan.rpt
multi8x8.tan.summary
multi8x8.vwf
REG16B.vhd
SREG8B.vhd
VIP VIP
0.171986s