首页| JavaScript| HTML/CSS| Matlab| PHP| Python| Java| C/C++/VC++| C#| ASP| 其他|
购买积分 购买会员 激活码充值

您现在的位置是:虫虫源码 > 其他 > 并串转换模块,内含有另个.vhd文件。一个是自己写的比较简单 另一个是参考的。...

并串转换模块,内含有另个.vhd文件。一个是自己写的比较简单 另一个是参考的。...

  • 资源大小:322.02 kB
  • 上传时间:2021-06-30
  • 下载次数:0次
  • 浏览次数:0次
  • 资源积分:1积分
  • 标      签: VHDL vhdl

资 源 简 介

并串转换模块,内含有另个.vhd文件。一个是自己写的比较简单 另一个是参考的。-And the string conversion module, which contains another one. Vhd file. One is its relatively simple to write the other is the reference.

文 件 列 表

p2s
incremental_db
db
p2s.asm.rpt
p2s.done
p2s.fit.rpt
p2s.fit.smsg
p2s.fit.summary
p2s.flow.rpt
p2s.map.rpt
p2s.map.summary
p2s.pin
p2s.pof
p2s.qpf
p2s.qsf
p2s.qws
p2s.sim.rpt
p2s.sof
p2s.tan.rpt
p2s.tan.summary
p2s.vhd
p2s.vhd.bak
p2s.vwf
ptos.vhd
ptos.vhd.bak
ptos.vwf
VIP VIP
0.172371s