首页| JavaScript| HTML/CSS| Matlab| PHP| Python| Java| C/C++/VC++| C#| ASP| 其他|
购买积分 购买会员 激活码充值

您现在的位置是:虫虫源码 > 其他 > 用VHDL语言实现4x4点阵打地鼠

用VHDL语言实现4x4点阵打地鼠

  • 资源大小:743.53 kB
  • 上传时间:2021-06-30
  • 下载次数:0次
  • 浏览次数:0次
  • 资源积分:1积分
  • 标      签: 数电综合-打地鼠

资 源 简 介

这是一个使用VHDL语言编写的简单的打地鼠实验,其中可实现的功能有基本的打地鼠功能,即可以计时,在规定的时间内完成游戏,还可以选择等级。

文 件 列 表

final_lianjiez
incremental_db
db
contrl.vhd.bak
count2.vhd.bak
countdown.vhd.bak
ctrl.vhd.bak
final_lianjiez.asm.rpt
final_lianjiez.cdf
final_lianjiez.done
final_lianjiez.dpf
final_lianjiez.fit.rpt
final_lianjiez.fit.smsg
final_lianjiez.fit.summary
final_lianjiez.flow.rpt
final_lianjiez.map.rpt
final_lianjiez.map.summary
final_lianjiez.pin
final_lianjiez.pof
final_lianjiez.qpf
final_lianjiez.qsf
final_lianjiez.qws
final_lianjiez.tan.rpt
final_lianjiez.tan.summary
final_lianjiez.vhd
final_lianjiez.vhd.bak
fp_10.vhd.bak
fp_1k.vhd.bak
fp_5k.vhd.bak
JIANPAN.vhd.bak
rand.vhd.bak
TUBE.vhd.bak
wxdiv_2.vhd.bak
wx_beep.vhd
wx_beep.vhd.bak
wx_contrl.vhd
wx_contrl.vhd.bak
wx_dadishu.vhd
wx_dadishu.vhd.bak
wx_daojishi.vhd
wx_daojishi.vhd.bak
wx_dianzhen.vhd
wx_dianzhen.vhd.bak
wx_div_2.vhd
wx_div_2.vhd.bak
wx_fenpin_10.vhd
wx_fenpin_10.vhd.bak
wx_fenpin_1000.vhd
wx_fenpin_1000.vhd.bak
wx_fenpin_5000.vhd
wx_fenpin_5000.vhd.bak
wx_jianpan.vhd
wx_jianpan.vhd.bak
wx_laohu.vhd
wx_laohu.vhd.bak
wx_speaker.vhd
wx_speaker.vhd.bak
wx_suijishu.vhd
wx_suijishu.vhd.bak
wx_tone.vhd
wx_tone.vhd.bak
wx_tra.vhd
wx_tra.vhd.bak
wx_zhuanhuan.vhd
wx_zhuanhuan.vhd.bak
xianshi.vhd.bak
ZHUANHUAN.vhd.bak

相 关 资 源

VIP VIP
0.183216s