首页| JavaScript| HTML/CSS| Matlab| PHP| Python| Java| C/C++/VC++| C#| ASP| 其他|
购买积分 购买会员 激活码充值

您现在的位置是:虫虫源码 > 其他 > 超大规模集成电路的VHDL基本编码…………

超大规模集成电路的VHDL基本编码…………

  • 资源大小:6.83 kB
  • 上传时间:2021-06-30
  • 下载次数:0次
  • 浏览次数:1次
  • 资源积分:1积分
  • 标      签: VHDL vhdl

资 源 简 介

文 件 列 表

basic_coding
2_4decoder.vhd
3_8decoder.vhd
and_gate.vhd
comparator.vhd
copy.vhd
counter.vhd
decoder.vhd
full_adder.vhd
full_subtractor.vhd
gate.vhd
gates.vhd
half_adder.vhd
half_subtractor.vhd
mux2_1.vhd
mux4_1.vhd
nand_gate.vhd
priority_encoder.vhd
ram_test.vhd
rom_test.vhd
updown_counter.vhd
up_counter.vhd
VIP VIP
0.226138s