首页| JavaScript| HTML/CSS| Matlab| PHP| Python| Java| C/C++/VC++| C#| ASP| 其他|
购买积分 购买会员 激活码充值

您现在的位置是:虫虫源码 > 其他 > VHDL电子钟的设计

VHDL电子钟的设计

  • 资源大小:995.16 kB
  • 上传时间:2021-06-30
  • 下载次数:0次
  • 浏览次数:0次
  • 资源积分:1积分
  • 标      签: VHDL vhdl 设计 电子钟

资 源 简 介

(1)用HDL设计一个多功能数字钟,包含以下主要功能:精确计时,时间可以24小时制或12小时制显示; (2)日历:显示年月日星期; (3)能用QuartusII软件仿真;

文 件 列 表

Quartus II 软件仿真
clock.asm.rpt
clock.done
clock.fit.rpt
clock.fit.summary
clock.flow.rpt
clock.map.rpt
clock.map.summary
clock.pin
clock.pof
clock.qpf
clock.qsf
clock.qws
clock.sim.rpt
clock.sof
clock.tan.rpt
clock.tan.summary
clock.vhd
clock.vwf
db
incremental_db
led_disp.vhd
nian_yue_ri.vhd
nian_yue_ri.vhd.bak
second_wave.vhd
shi_fen_miao.vhd
VIP VIP
0.170179s