首页| JavaScript| HTML/CSS| Matlab| PHP| Python| Java| C/C++/VC++| C#| ASP| 其他|
购买积分 购买会员 激活码充值

您现在的位置是:虫虫源码 > 其他 > 计算机组成原理课程设计(vhdl语言实现)

计算机组成原理课程设计(vhdl语言实现)

  • 资源大小:1.22 MB
  • 上传时间:2021-06-30
  • 下载次数:0次
  • 浏览次数:0次
  • 资源积分:1积分
  • 标      签: VHDL

资 源 简 介

1. 一位全加器设计 LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; ENTITY add IS PORT(a,b,cin:IN STD_LOGIC; Co,S:OUT STD_LOGIC); END ENTITY add; ARCHITECTURE fc1 OF add is BEGIN S<= a xor b xor cin;   --这两个为推得的表达式 Co<= (a and b) or (a and cin) or (b and cin); END ARCHITECTURE fc1;

文 件 列 表

Tampermonkey_extension_4_9_0_0.crx
更新日志.txt
VIP VIP
0.173826s