首页| JavaScript| HTML/CSS| Matlab| PHP| Python| Java| C/C++/VC++| C#| ASP| 其他|
购买积分 购买会员 激活码充值

您现在的位置是:虫虫源码 > 其他 > 用VHDL写的一个显示程序,希望能帮上大家的忙,嘿嘿。

用VHDL写的一个显示程序,希望能帮上大家的忙,嘿嘿。

资 源 简 介

用VHDL写的一个显示程序,希望能帮上大家的忙,嘿嘿。-Written in VHDL, a display procedure, hoping that would help everyone a favor on the Hei hei.

文 件 列 表

基本显示
incremental_db
db
div.vhd
LCD.vhd
xunhuan_LCD.asm.rpt
xunhuan_LCD.done
xunhuan_LCD.dpf
xunhuan_LCD.fit.rpt
xunhuan_LCD.fit.smsg
xunhuan_LCD.fit.summary
xunhuan_LCD.flow.rpt
xunhuan_LCD.map.rpt
xunhuan_LCD.map.summary
xunhuan_LCD.pin
xunhuan_LCD.pof
xunhuan_LCD.qpf
xunhuan_LCD.qsf
xunhuan_LCD.sim.rpt
xunhuan_LCD.sof
xunhuan_LCD.tan.rpt
xunhuan_LCD.tan.summary
xunhuan_LCD.vwf
VIP VIP
0.177508s