首页| JavaScript| HTML/CSS| Matlab| PHP| Python| Java| C/C++/VC++| C#| ASP| 其他|
购买积分 购买会员 激活码充值

您现在的位置是:虫虫源码 > 其他 > FPGA实现UART接收和发送

FPGA实现UART接收和发送

  • 资源大小:1.63 MB
  • 上传时间:2021-06-30
  • 下载次数:0次
  • 浏览次数:0次
  • 资源积分:1积分
  • 标      签: Verilog

资 源 简 介

在fpga中实现实现了UART的功能,经过实际在ep4cE6 fpga上下载测试,发现可以准确的接收个发送串口数据,和板子上的单片机uart通信正常。要使用的小伙伴,可以直接拷贝使用。

文 件 列 表

example9-uart
uart_x_top_ok
uart_x_ok
uart_r_top_ok
uart_r_ok
RS232串口-发送.doc
RS232串口-接收.doc
RS232串口简介.doc
VIP VIP
0.170875s