首页| JavaScript| HTML/CSS| Matlab| PHP| Python| Java| C/C++/VC++| C#| ASP| 其他|
购买积分 购买会员 激活码充值

您现在的位置是:虫虫源码 > 其他 > verilog实现pwm输出按键控制数码管显示频率和占空比

verilog实现pwm输出按键控制数码管显示频率和占空比

  • 资源大小:3.54 kB
  • 上传时间:2021-06-30
  • 下载次数:0次
  • 浏览次数:0次
  • 资源积分:1积分
  • 标      签: fpga

资 源 简 介

verilog代码实现pwm输出,并用三个按键来进行pwm的频率、占空比在数码管上的显示,第一个按键控制数码管显示频率还是占空比,第二个按键是增加频率或占空比,第三个按键则是减少频率或占空比,频率范围500-20kHz(数码管不显示单位默认为Hz),占空比范围(0.1-0.9)
VIP VIP
0.160588s