首页| JavaScript| HTML/CSS| Matlab| PHP| Python| Java| C/C++/VC++| C#| ASP| 其他|
购买积分 购买会员 激活码充值

您现在的位置是:虫虫源码 > 其他 > LED流水灯例程

LED流水灯例程

  • 资源大小:1.40 MB
  • 上传时间:2021-06-30
  • 下载次数:0次
  • 浏览次数:0次
  • 资源积分:1积分
  • 标      签: Verilog

资 源 简 介

本章将介绍从新建一个项目, 以及到最后下载到FPGA的全过程,让初次接触FPGA的朋友,如何使用FPGA进行一个简易项目的开发,有个直面的感性认识,只有通过学习本章的内容,您才算是FPGA开发入门了。在投简历的时候才可以夸张的说熟练掌握FPGA的开发啦(^_^)。 在例程中,我们要做的是流水灯实验,顾名思义就是要LED像流水一样的点亮,这样说吧,就是先单独点亮第一个,然后点亮第二个,然后……。不解释这么多了, 大家还是看实验结果吧!

文 件 列 表

04_led_test
.Xil-PlanAhead-3972-51266BE5C54B410
.Xil-PlanAhead-896-51266BE5C54B410
LED.v.bak
LED_bak.v
_impact.cmd
_impact.log
_ngo
_xmsgs
iseconfig
lcd_test.cfi
lcd_test.mcs
lcd_test.prm
led.bgn
led.bld
led.cmd_log
led.drc
led.lso
led.ncd
led.ngc
led.ngd
led.ngr
led.pad
led.par
led.pcf
led.prj
led.ptwx
led.stx
led.syr
led.twr
led.twx
led.unroutes
led.ut
led.xpi
led.xst
led_bitgen.xwbt
led_envsettings.html
led_guide.ncd
led_map.map
led_map.mrp
led_map.ncd
led_map.ngm
led_map.xrpt
led_ngdbuild.xrpt
led_pad.csv
led_pad.txt
led_par.xrpt
led_summary.html
led_summary.xml
led_test.bgn
led_test.bit
led_test.bld
led_test.cfi
led_test.cmd_log
led_test.drc
led_test.gise
led_test.lso
led_test.ncd
led_test.ngc
led_test.ngd
led_test.ngr
led_test.pad
led_test.par
led_test.pcf
led_test.prj
led_test.prm
led_test.ptwx
led_test.stx
led_test.syr
led_test.twr
led_test.twx
led_test.ucf
led_test.unroutes
led_test.ut
led_test.v
led_test.xise
led_test.xpi
led_test.xst
led_test_bitgen.xwbt
led_test_envsettings.html
led_test_guide.ncd
led_test_map.map
led_test_map.mrp
led_test_map.ncd
led_test_map.ngm
led_test_map.xrpt
led_test_ngdbuild.xrpt
led_test_pad.csv
led_test_pad.txt
led_test_par.xrpt
led_test_summary.html
led_test_summary.xml
led_test_usage.xml
led_test_xst.xrpt
led_tset.cfi
led_tset.prm
led_usage.xml
led_xst.xrpt
pa.fromHdl.tcl
pa.fromNetlist.tcl
par_usage_statistics.html
planAhead.ngc2edif.log
planAhead_pid2352.debug
planAhead_pid3908.debug
planAhead_pid3972.debug
planAhead_pid544.debug
planAhead_pid896.debug
testbench
transcript
usage_statistics_webtalk.html
vsim.wlf
vtf_led_test.fdo
vtf_led_test.udo
vtf_led_test_wave.fdo
webtalk.log
webtalk_pn.xml
work
xlnx_auto_0_xdb
xst
VIP VIP
0.183462s