首页| JavaScript| HTML/CSS| Matlab| PHP| Python| Java| C/C++/VC++| C#| ASP| 其他|
购买积分 购买会员 激活码充值

您现在的位置是:虫虫源码 > 其他 > This is what I found online vhdl language used to write the sdram controller cod...

This is what I found online vhdl language used to write the sdram controller cod...

  • 资源大小:332.61 kB
  • 上传时间:2021-06-30
  • 下载次数:0次
  • 浏览次数:0次
  • 资源积分:1积分
  • 标      签: VHDL others

资 源 简 介

这是我从网上找到的用vhdl语言写的sdram控制器的代码。我的邮箱:wleechina@163.com-This is what I found online vhdl language used to write the sdram controller code. My mail : wleechina@163.com

文 件 列 表

sdram
xst
work
sub00
automake.log
brst_cntr.cmd_log
brst_cntr.lso
brst_cntr.ngc
brst_cntr.ngr
brst_cntr.prj
brst_cntr.spl
brst_cntr.stx
brst_cntr.sym
brst_cntr.syr
brst_cntr.vhd
buffer_8.sym
buzhidao.dhp
buzhidao.npl
copy_of_fd8ce.sym
copy_of_iobuf.sym
copy_of_iobuf_f_12.sym
copy_of_obuf_f_12.sym
coregen.log
coregen.prj
cslt_cntr.cmd_log
cslt_cntr.lso
cslt_cntr.ngc
cslt_cntr.ngr
cslt_cntr.prj
cslt_cntr.spl
cslt_cntr.stx
cslt_cntr.sym
cslt_cntr.syr
cslt_cntr.vhd
fdc_11.sym
fdc_32.sym
fdp_32.sym
fdp_4.sym
fdp_8.sym
fd_11.spl
fd_11.sym
fd_11.vhd
fd_11.vhd.bak
fd_4.spl
fd_4.sym
fd_4.vhd
fd_9.cmd_log
fd_9.lso
fd_9.ngr
fd_9.prj
fd_9.spl
fd_9.stx
fd_9.sym
fd_9.syr
fd_9.vhd
IOBUF_32.sym
ki_cntr.cmd_log
ki_cntr.lso
ki_cntr.ngc
ki_cntr.ngr
ki_cntr.prj
ki_cntr.spl
ki_cntr.stx
ki_cntr.sym
ki_cntr.syr
ki_cntr.vhd
mti_pkg.lso
mti_pkg.prj
mti_pkg.stx
mti_pkg.vhd
mti_pkg_vhdl.prj
mux2to1_11.cmd_log
mux2to1_11.lso
mux2to1_11.ngr
mux2to1_11.prj
mux2to1_11.spl
mux2to1_11.stx
mux2to1_11.sym
mux2to1_11.syr
mux2to1_11.vhd
mux2to1_11.vhd.bak
mux2to1_4.spl
mux2to1_4.sym
mux2to1_4.vhd
mux2to1_9.cmd_log
mux2to1_9.lso
mux2to1_9.ngr
mux2to1_9.prj
mux2to1_9.spl
mux2to1_9.stx
mux2to1_9.sym
mux2to1_9.syr
mux2to1_9.vhd
OBUF_11.sym
pepExtractor.prj
prjname.lso
rcd_cntr.cmd_log
rcd_cntr.lso
rcd_cntr.ngc
rcd_cntr.ngr
rcd_cntr.prj
rcd_cntr.spl
rcd_cntr.stx
rcd_cntr.sym
rcd_cntr.syr
rcd_cntr.vhd
ref_cntr.cmd_log
ref_cntr.lso
ref_cntr.ngc
ref_cntr.ngr
ref_cntr.prj
ref_cntr.spl
ref_cntr.stx
ref_cntr.sym
ref_cntr.syr
ref_cntr.vhd
sdram_controller.sch
sdram_controller.schbak
sdram_controller_1.sch
sdram_controller_2.sch
sdrm.cmd_log
sdrm.lso
sdrm.ngr
sdrm.prj
sdrm.spl
sdrm.stx
sdrm.sym
sdrm.syr
sdrm.vhd
sdrmc_state.cmd_log
sdrmc_state.lso
sdrmc_state.ngc
sdrmc_state.ngr
sdrmc_state.prj
sdrmc_state.spl
sdrmc_state.stx
sdrmc_state.sym
sdrmc_state.syr
sdrmc_state.vhd
sdrmc_state.vhd.bak
sdrm_t.cmd_log
sdrm_t.lso
sdrm_t.ngr
sdrm_t.prj
sdrm_t.sch
sdrm_t.spl
sdrm_t.stx
sdrm_t.sym
sdrm_t.syr
sdrm_t.vhd
sys_int.cmd_log
sys_int.lso
sys_int.ngc
sys_int.ngr
sys_int.prj
sys_int.spl
sys_int.stx
sys_int.sym
sys_int.syr
sys_int.vhd
sys_int_vhdl.prj
userlang.tpl
__projnav
brst_cntr.xst
__projnav.log
复件 sdrm_t.vhd
__projnav
说明.txt
VIP VIP
0.184268s