首页| JavaScript| HTML/CSS| Matlab| PHP| Python| Java| C/C++/VC++| C#| ASP| 其他|
购买积分 购买会员 激活码充值

您现在的位置是:虫虫源码 > 其他 > State machine used to achieve code lock

State machine used to achieve code lock

  • 资源大小:1.05 MB
  • 上传时间:2021-06-30
  • 下载次数:0次
  • 浏览次数:0次
  • 资源积分:1积分
  • 标      签: VHDL vhdl

资 源 简 介

用状态机实现密码锁State machine used to achieve code lock-State machine used to achieve code lock

文 件 列 表

STATE2
db
lcdfinal.asm.rpt
lcdfinal.done
lcdfinal.fit.rpt
lcdfinal.fit.smsg
lcdfinal.fit.summary
lcdfinal.flow.rpt
lcdfinal.map.rpt
lcdfinal.map.smsg
lcdfinal.map.summary
lcdfinal.pin
lcdfinal.pof
lcdfinal.qpf
lcdfinal.qsf
lcdfinal.qsf.bak
lcdfinal.qws
lcdfinal.sof
lcdfinal.tan.rpt
lcdfinal.tan.summary
lcdfinal.v
lcdfinal.v.bak
LCDmodule.v
LCD_Controller.v
LCD_TEST_initial.v
LCD_TEST_initial.v.bak
passed_lock.v
passed_lock.v.bak
Reset_Delay.v
VIP VIP
  • Zzz 21分钟前 成为了本站会员

  • Katou Megumi 2小时前 成为了本站会员

  • 6小时前 成为了本站会员

  • 流浪 11小时前 成为了本站会员

  • 也是一生 11小时前 成为了本站会员

  • king666 1天前 成为了本站会员

  • ﹏約啶℡ 1天前 成为了本站会员

  • Long for 1天前 成为了本站会员

  • 1天前 成为了本站会员

  • 金. 1天前 成为了本站会员

0.180085s