首页| JavaScript| HTML/CSS| Matlab| PHP| Python| Java| C/C++/VC++| C#| ASP| 其他|
购买积分 购买会员 激活码充值

您现在的位置是:虫虫源码 > 其他 > 两位基于VHDL的加法器,课程设计

两位基于VHDL的加法器,课程设计

  • 资源大小:696.38 kB
  • 上传时间:2021-06-30
  • 下载次数:0次
  • 浏览次数:0次
  • 资源积分:1积分
  • 标      签: Windows开发

资 源 简 介

一个简单的加法器,基于VHDL,在ISE中的环境中开发的,可以正常运行,键盘输入,进行两位的加减运算。

文 件 列 表

plus
plus
VIP VIP
0.213546s