首页| JavaScript| HTML/CSS| Matlab| PHP| Python| Java| C/C++/VC++| C#| ASP| 其他|
购买积分 购买会员 激活码充值

您现在的位置是:虫虫源码 > 其他 > 随机计数的计数器Verilog代码

随机计数的计数器Verilog代码

资 源 简 介

Verilog代码随机计数器计数的随机数,根据要求,

文 件 列 表

counter
.simvision
count.v
count.v~
counttb.v
counttb.v~
INCA_libs
ncelab.log
ncsim.key
ncsim.log
ncvlog.log
Screenshot-1.png
waves.shm
VIP VIP
0.187014s