首页| JavaScript| HTML/CSS| Matlab| PHP| Python| Java| C/C++/VC++| C#| ASP| 其他|
购买积分 购买会员 激活码充值

您现在的位置是:虫虫源码 > 其他 > verilog编写的具有奇偶校验uart发送模块

verilog编写的具有奇偶校验uart发送模块

  • 资源大小:1.37 kB
  • 上传时间:2021-06-30
  • 下载次数:0次
  • 浏览次数:0次
  • 资源积分:1积分
  • 标      签: Verilog

资 源 简 介

verilog编写的uart发送模块,起始位,数据位,可选择的奇偶校验位,停止位。仿真可用

文 件 列 表

uart_rx.v
VIP VIP
0.229031s