首页| JavaScript| HTML/CSS| Matlab| PHP| Python| Java| C/C++/VC++| C#| ASP| 其他|
购买积分 购买会员 激活码充值

您现在的位置是:虫虫源码 > 其他 > DM642的基本程序

DM642的基本程序

  • 资源大小:17.09 MB
  • 上传时间:2021-06-30
  • 下载次数:0次
  • 浏览次数:0次
  • 资源积分:1积分
  • 标      签: DSP设计 c 程序 DM 基本

资 源 简 介

DSPDM642的一些基本源程序,比如流水灯,CPLD,视频输入输出,视频采集,互联网。包含了所有底层文件。some of the basic functions of routines. Include some image processing examples。

文 件 列 表

examples
Win32
VMD642_video_streaming_vp1_ch0_dhcp
VMD642_video_streaming_vp0_ch1_dhcp
VMD642_video_out_ch3
VMD642_video_out_ch2
vmd642_video_out_ch0_task
VMD642_video_out_ch0_position_reverse
VMD642_video_out_ch0
vmd642_video_out0_SobelEdge
VMD642_video_capture_vp1_ch0
VMD642_video_capture_vp0_ch1
VMD642_uartio
VMD642_net
VMD642_mem
VMD642_led_gpio
VMD642_led
VMD642_flashburn
VMD642_flash
VMD642_CPLD
VMD642_bsl
VMD642_boot
VMD642_audio
jpeg_netcam
VMD642_video_streaming_vp0_ch1_192.168.1.222
bin
Debug
bsl.lib
VMD642_video_streaming_vp0_ch1_192.168.1.222_position_reverse
VMD642_video_streaming_vp1_ch0_192.168.1.222
VMD642_video_streaming_vp0_ch1_192.168.1.222
VMD642_video_streaming_vp0_ch1_192.168.1.222_position_reverse
VMD642_video_streaming_vp1_ch0_192.168.1.222
VIP VIP
0.165359s