首页| JavaScript| HTML/CSS| Matlab| PHP| Python| Java| C/C++/VC++| C#| ASP| 其他|
购买积分 购买会员 激活码充值

您现在的位置是:虫虫源码 > 其他 > 计数器的VHDL代码

计数器的VHDL代码

  • 资源大小:124.21 kB
  • 上传时间:2021-06-30
  • 下载次数:0次
  • 浏览次数:0次
  • 资源积分:1积分
  • 标      签: VHDL vhdl 代码 计数器

资 源 简 介

这是VHDL中计数器的代码。

文 件 列 表

bitcounter
_xmsgs
_ngo
xst
xlnx_auto_0_xdb
iseconfig
ipcore_dir
bitcounter.gise
bitcounter.xise
counter.bgn
counter.bit
counter.bld
counter.cmd_log
counter.drc
counter.lso
counter.ncd
counter.ngc
counter.ngd
counter.ngr
counter.pad
counter.par
counter.pcf
counter.prj
counter.ptwx
counter.rar
counter.stx
counter.syr
counter.twr
counter.twx
counter.unroutes
counter.ut
counter.vhd
counter.xpi
counter.xst
counter_bitgen.xwbt
counter_envsettings.html
counter_guide.ncd
counter_map.map
counter_map.mrp
counter_map.ncd
counter_map.ngm
counter_map.xrpt
counter_ngdbuild.xrpt
counter_pad.csv
counter_pad.txt
counter_par.xrpt
counter_summary.html
counter_summary.xml
counter_usage.xml
counter_vhdl.prj
counter_xst.xrpt
pins.ucf
usage_statistics_webtalk.html
webtalk.log
webtalk_pn.xml
VIP VIP
0.173859s