首页| JavaScript| HTML/CSS| Matlab| PHP| Python| Java| C/C++/VC++| C#| ASP| 其他|
购买积分 购买会员 激活码充值

您现在的位置是:虫虫源码 > 其他 > 用VHDL设计CRC发生器和校验器,供初学者参考。

用VHDL设计CRC发生器和校验器,供初学者参考。

  • 资源大小:110.31 kB
  • 上传时间:2021-06-30
  • 下载次数:0次
  • 浏览次数:0次
  • 资源积分:1积分
  • 标      签: 其他项目 others

资 源 简 介

用VHDL设计CRC发生器和校验器,供初学者参考。-CRC generator and calibration device for advanced users.

文 件 列 表

desigingCRCwithVDHL.pdf
VIP VIP
0.182420s