首页| JavaScript| HTML/CSS| Matlab| PHP| Python| Java| C/C++/VC++| C#| ASP| 其他|
购买积分 购买会员 激活码充值

您现在的位置是:虫虫源码 > 其他 > VHDL语言写的波形发生器和sine波形发生器

VHDL语言写的波形发生器和sine波形发生器

  • 资源大小:3.56 kB
  • 上传时间:2021-06-30
  • 下载次数:0次
  • 浏览次数:0次
  • 资源积分:1积分
  • 标      签: VHDL vhdl 语言 发生器 sine

资 源 简 介

VHDL语言写的波形发生器和sine波形发生器,一共两个文件,通信开发平台专用。这是一个典型的正玄波发生器程序和一个任意波形发生器程序,大家可以参考学习,对于vhdl入门还是很有帮助的-This is a typical wave generator Shogen procedures and an arbitrary waveform generator procedures, Members can take a learning portal for VHDL or helpful

文 件 列 表

波形发生器和sine波形发生器
sine_generator.vhd
smart_waveform.vhd
VIP VIP
0.183472s