首页| JavaScript| HTML/CSS| Matlab| PHP| Python| Java| C/C++/VC++| C#| ASP| 其他|
购买积分 购买会员 激活码充值

您现在的位置是:虫虫源码 > 其他 > 全加器

全加器

  • 资源大小:445.08 kB
  • 上传时间:2021-06-30
  • 下载次数:0次
  • 浏览次数:0次
  • 资源积分:1积分
  • 标      签: add verilog

资 源 简 介

模块全加器(

文 件 列 表

ex1_skel
_xmsgs
full_adder.gise
full_adder.ipf
full_adder.ucf
full_adder.v
full_adder.xise
full_adder_beh.prj
full_adder_summary.html
full_adder_test.v
full_adder_test_beh.prj
full_adder_test_isim_beh.exe
full_adder_test_isim_beh.wdb
fuse.log
fuse.xmsgs
fuseRelaunch.cmd
iseconfig
isim.cmd
isim.log
isim
xilinxsim.ini
_xmsgs
pn_parser.xmsgs
VIP VIP
0.166872s